Match vg(x) k1 st1

Commits > Commit b1773e3237a9e3fe1d68ecd7146c17ebbd95a961 > Run GCI_foss-cmake: [foss2022a-mpi, foss-full-mpi] > Input 04-silicon.02-kdotp.inp
Value Reference Precision Status
6.523000000000000e-02 6.523000000000000e-02 3.260000000000000e-04 PASS
Command: LINEFIELD(kdotp/velocity, 5, 3)
Compare to other runs.