Updated file for test functionals/12-vdw_solid_c6.test

Commits > Commit 7368b0b20aad13e0ecfc3e36ba5b1a9f5c3d54b1
  
  Loading updated test file...