Updated file for test functionals/12-vdw_solid_c6.test

Commits > Commit 97b4f3224f9be7c1ae7006b6639b45be6cefbdcd
  
  Loading updated test file...