Updated file for test functionals/08-vdw_ts.test

Commits > Commit f2d849ada5a655d1f6759e4d19e0c812b266e6fc
  
  Loading updated test file...