Updated file for test functionals/08-vdw_ts.test

Commits > Commit 3f59639a2c09960e9ce8b923e2789a20396f5ecf
  
  Loading updated test file...